Print

Print


Author: [log in to unmask]
Date: Sat May  9 20:01:21 2015
New Revision: 2934

Log:
Singles1 trigger readout for Engineering Run

Added:
    java/trunk/steering-files/src/main/resources/org/hps/steering/readout/EngineeringRun2015TrigSingles1.lcsim
      - copied, changed from r2926, java/trunk/steering-files/src/main/resources/org/hps/steering/readout/EngineeringRun2015TrigPairs1.lcsim

Copied: java/trunk/steering-files/src/main/resources/org/hps/steering/readout/EngineeringRun2015TrigSingles1.lcsim (from r2926, java/trunk/steering-files/src/main/resources/org/hps/steering/readout/EngineeringRun2015TrigPairs1.lcsim)
 =============================================================================
--- java/trunk/steering-files/src/main/resources/org/hps/steering/readout/EngineeringRun2015TrigPairs1.lcsim	(original)
+++ java/trunk/steering-files/src/main/resources/org/hps/steering/readout/EngineeringRun2015TrigSingles1.lcsim	Sat May  9 20:01:21 2015
@@ -4,18 +4,18 @@
       Steering file for readout for the EngRun 2015 MC
       @author Matt Graham <[log in to unmask]>
     -->    
-     <control>
+    <control>
         <printInputFiles>true</printInputFiles>
         <printDriversDetailed>true</printDriversDetailed>
     </control>    
     <execute>    
         <driver name="ConditionsDriver"/>
         <driver name="EventMarkerDriver" />
-<!--  Readout Simulation -->
+        <!--  Readout Simulation -->
         <driver name="EcalReadout"/>
         <driver name="EcalConverter"/>
         <driver name="EcalClustererGTP"/>
-        <driver name="PairTrigger1"/>
+        <driver name="SinglesTrigger1"/>
         <driver name="SimpleSVTReadout" />
         <driver name="TestRunReconToLcio"/>     
         <driver name="AidaSaveDriver"/>    
@@ -55,36 +55,26 @@
             <inputHitCollectionName>EcalCorrectedHits</inputHitCollectionName>
             <outputClusterCollectionName>EcalClustersGTP</outputClusterCollectionName>            
             <clusterWindow>1</clusterWindow>
+            <seedEnergyThreshold>0.05</seedEnergyThreshold>
             <logLevel>SEVERE</logLevel>
         </driver>
-        <driver name="PairTrigger1" type="org.hps.readout.ecal.FADCPrimaryTriggerDriver">
-            <!--      
-           Hit Count: 2
-           Seed Energy: 50 MeV
-           Cluster Energy: 60 MeV (Low) and 630 MeV (High)
-           Energy Sum: 200 MeV (Low) and 860 MeV (High)
-           Energy Difference: 540 MeV
-           Energy Slope: 600 MeV (with F = 5.5 MeV/mm)
-           Coplanarity: 30 Degrees
-           Time Coincidence: 16 ms 
+        <driver name="SinglesTrigger1" type="org.hps.readout.ecal.SinglesTriggerDriver">
+            <!--                  
+                  # Singles 1 trigger
+                SSP_HPS_SINGLES_EMIN  1  400  1
+                SSP_HPS_SINGLES_EMAX  1  1100 1
+                SSP_HPS_SINGLES_NMIN  1  3    1
             -->
             <clusterCollectionName>EcalClustersGTP</clusterCollectionName>
             <deadTime>32</deadTime>
-            <minHitCount>2</minHitCount>
-            <pairCoincidence>4</pairCoincidence>
-            <clusterEnergyHigh>0.63</clusterEnergyHigh>
-            <clusterEnergyLow>0.06</clusterEnergyLow>
-            <energySumHigh>0.86</energySumHigh>
-            <energySumLow>0.2</energySumLow>
-            <energyDifferenceHigh>0.54</energyDifferenceHigh>
-            <coplanarityHigh>30</coplanarityHigh>
-            <seedEnergyLow>0.05</seedEnergyLow>
-            <energySlopeParamF>0.0055</energySlopeParamF>
-            <energySlopeLow>0.6</energySlopeLow>
+            <hitCountThreshold>3</hitCountThreshold>
+            <seedEnergyLowThreshold>0.05</seedEnergyLowThreshold>
+            <clusterEnergyLowThreshold>0.4</clusterEnergyLowThreshold>
+            <clusterEnergyHighThreshold>1.1</clusterEnergyHighThreshold>
             <prescale>1</prescale>
-            <outputFileName>${outputFile}.triggers.pairs1</outputFileName>
+            <outputFileName>${outputFile}.triggers.singles1</outputFileName>
             <!--<verbose>true</verbose>-->
-        </driver>         
+        </driver>                                    
         <driver name="SimpleSVTReadout" type="org.hps.readout.svt.SimpleSvtReadout" />
         <driver name="RawTrackerHitSensorSetup" type="org.lcsim.recon.tracking.digitization.sisim.config.RawTrackerHitSensorSetup" />